CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 滤波器

搜索资源列表

  1. channel_fir

    0下载:
  2. 用于无线通信数字基带的信道选择滤波器,verilog代码-Used for wireless digital baseband channel selection filter, verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3676
    • 提供者:黄巾
  1. channel_iir

    0下载:
  2. 符合EPC C1G2协议的接收信道IIR滤波器源代码 -Used for wireless digital IIR filter, verilog code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-07
    • 文件大小:1060
    • 提供者:黄巾
  1. fir-filter

    0下载:
  2. 11阶fir数字滤波器的verilog程序设计,线性相位,系数量化处理-11 order of fir digital filter verilog programming, linear phase, the coefficient quantization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:59848
    • 提供者:happy
  1. Ellip

    0下载:
  2. verilog写的数字椭圆滤波器,希望对大家有帮助-verilog ellip filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2565270
    • 提供者:tony
  1. lms

    0下载:
  2. LMS自适应滤波器,verilog语言实现,能实现有符号数的运算-LMS filer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1320
    • 提供者:guanwen
  1. IIR

    0下载:
  2. 环路滤波器的FPGA实现,使用VERILOG语言,ISE13.2编译环境-The loop filter FPGA realizing, use VERILOG language, ISE13.2 compile environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:792
    • 提供者:法克尤
  1. FIR

    0下载:
  2. 10阶的F.I.R滤波器设计的 verilog代码-Verilog code for the 10-order FIR filter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:589
    • 提供者:lubianke
  1. cic_core

    0下载:
  2. cic积分梳状滤波器的verilog代码-the cic integral comb filter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:89501
    • 提供者:李雪利
  1. fir_filter_generator

    0下载:
  2. FIR有限冲击响应滤波器verilog代码和测试-FIR finite FIR filter verilog code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1844810
    • 提供者:李雪利
  1. digital-signal-processing-with--fpga

    1下载:
  2. 数字信号处理用FPGA实现,其中包含常见的FFT,滤波器,自相关等用VHDL和Verilog语言实现的-digital signal processing with fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7065722
    • 提供者:张树林
  1. 8_oeder_signed_parellel_DA_FIR

    0下载:
  2. 本程序使用Verilog编写的程序。 本例是1个8阶对称系数的FIR滤波器,采用并行分布式算法。输入位宽为12位,输入是有符号的,即有正有负。-it s a program with Verilog
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2097
    • 提供者:张树林
  1. firfilt

    0下载:
  2. FIR滤波器verilog源代码,经过fpga验证可以被综合。-FIR filter verilog source code, fpga verification can be integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5275
    • 提供者:mmmm1111111111
  1. cic-1

    0下载:
  2. cic滤波器2倍抽取verilog代码及testch-cic filter decimation verilog code and testch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1835
    • 提供者:黄远望
  1. 3Code_for_Medx

    0下载:
  2. 3x3中值滤波器的FPGA实现现(VERILOG)可直接使用。 -3x3 median filter FPGA implementation of the present (VERILOG) can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2015-07-05
    • 文件大小:54272
    • 提供者:zenghui411
  1. TverilogFIRh

    0下载:
  2. 基于verilog的FIR滤波器程序设计(调试过的的)-verilog , -Verilog program of FIR filter design (debug)-Verilog,
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:645226
    • 提供者:表现
  1. Ufilter_verils

    0下载:
  2. 用verilog实现的低通滤波器,输输入输出精度为64位,并附有测试程序。 -Verilog to achieve a low-pass filter, the input input output precision of 64, with a test program.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-17
    • 文件大小:83491
    • 提供者:anticipate
  1. HalfbandDec

    0下载:
  2. 基于FPGA开发的11阶半带升余弦FIR滤波器,用在阅读器基带滤波时的抽取滤波器使用,采用verilog语言实现。-Raised cosine FIR filter based FPGA development 11 order of half-band decimation filter used in reader baseband filtering, using verilog language implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:1204
    • 提供者:小梦
  1. rc_flt

    0下载:
  2. 基于FPGA实现的64阶升余弦FIR并行滤波器,采用iso18000.6c标准实现,具有很好的低通滤波效果,已通过后仿上板验证,采用verilog语言实现。-64 order raised cosine FIR FPGA-based parallel filters, implemented using iso18000.6c standard with a low-pass filtering effect imitation on the board has passed validatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:3642
    • 提供者:小梦
  1. FIR_lowpass

    0下载:
  2. FIR 滤波器 verilog 语言编写 很实用-FIR filter design
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:747
    • 提供者:小关
  1. filter

    0下载:
  2. 巴特沃斯滤波器的Verilog实现,基于matlab-Butterworth filter Verilog implementation based on matlab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:6429
    • 提供者:sun
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 »
搜珍网 www.dssz.com